图片展示
  • 中文
  • English

新闻资讯

AGM技术
技术分享
行业动态
公司动态
AMD FPGA

FPGA使用快速入门

作者:robin 浏览: 发表时间:2022-01-30 13:24:00 来源:hizyuan

  AGM Micro是领先的可编程SoC、通用32位MCU、和异构(MCU)边缘计算芯片和方案提供商,致力于为消费电子、工业和AIoT中高量市场提供智能化的设计软件和芯片系统。AGM针对不同的纵向应用市场,并拥有19个知识产权,以及获得专利的编译软件(包括数据库、综合、布局、布线、时序分析、比特流产生等)及电路。公司的所有芯片产品线均达到接近ASIC的低成本,并兼具灵活性。

   海振远科技是AGM的中华区授权代理商,可以为用户提供增值的技术支持服务,如有芯片购买或者技术问题,欢迎直接与海振远科技沟通联系。

   海振远科技致力于加快推动芯片国产化进程,通过自身在行业的技术积累,将更多产品应用手册和经验分享给大家,与大家携手一起做更多有趣有意义的事情。

   以下是AGM FPGA的一份快速入门介绍,限于篇幅,如有不详尽的地方,欢迎留意或者邮件沟通交流。

3. 设计流程

从新建工程一步一步操作,直到生成编程文件,烧录到目标器件上。此部分将Supra和Quartus II一起配合使用。

3.1 在Supra中新建工程

打开 Supra,新建工程(File->Project->New Project),设置工程目录和工程名称。具体步骤如下:

1、 准备工作:在电脑上先建立一个保存AGM项目工程文件的文件夹。比如在D盘,建立一个AGM_Project\LED_PLL的文件夹。并将使用内置晶振的inpll.v和inpll.ip这2个文件放在该文件夹的目录下。若不需要使用内置的时钟及PLL,则导入inpll.v和inpll.ip的步骤可以忽略)。

1.jpg

2、 打开Supra软件新建工程;

AGM2.jpg

图 3.1 在Supra中新建工程

3、 选择保持路径及输入工程名称;

保存的目录选择D:\AGM_Project\LED_PLL,Project name的框内输入工程名称:LED。然后点击Save保存工程。

AGM3.jpg

图 3.2 选择保存位置及输入工程名称

点击Save后,弹出的对话框点OK。

4、 工程转换(Migra te);

选择菜单: ”Tools -> Migrate” ,或者点击Migrate按钮。

AGM4.jpg

图 3.3 菜单选择

Target Directory:是默认选择当前的工程目录,无法修改;

Select migrate from directory:不填;

Input design name:输入设计名称(例如这里填入:LED);

Select device:从下拉菜单中选择AG1280Q48;

Select ve file:不填;

Select IP file(s) :点击Browse,在LED_PLL工程目录下导入事先保存的inpll.ip;

(注意:1、因为该例程使用了芯片内部集成的晶振,所以需要导入此inpll.ip。若是不需要使用晶振,则不需要导入inpll.ip。

2、现在设的这个PLL的模块inpll.ip,test1输出大约37M,test2输出62MHz,芯片不同略有不同;)

将上述信息设置完成后,如图 3.4所示,点击Next。

AGM5.png

图 3.4 Migrate 窗口设置

5、点击 Next后,出现如图 3.5所示的画面。软件会生成一个以 LED 命名的Quartus II格式的Project文件,。下一步操作切换到Quartus II软件中继续进行。

AGM6.jpg

 

图 3.5 Migrate设置完成


 


 

3.2  在Quartus II中进行HDL设计

打开Quartus II, 然后选择Open Project…,打开上一步在Supra中建立的LED Project。

AGM7.jpg

图 3.6 Quartus II中打开Supra建的工程

AGM8.jpg

图 3.7 在Quartus II打开LED.qpf文件

LED的工程已经建立好,在Quartus II中,对应选择的器件是EP2C70F896C8,这个不用关注,只需要在Quartus II中编写HDL代码,综合等。

AGM9.jpg

图 3.8 在Quartus II中编写HDL代码

HDL程序编写完成点保存,然后选择菜单栏的Processing-àStart Com pilation。编译如果提示有错误,就对代码进行修改,直到没有Error提示为止。

 

AGM10.jpg

图 3.9 HDL程序编译

编译若有错误,可以根据下面的报错提示,如”LED” is undfined( LED未声明),故需要修改HDL代码。

AGM010.jpg

图 3.10 Qurtus II 错误报告

在LED.v的程序代码中,将module名称从led修改为LED,然后点击保存。以后修改原设计,在Quartus II里只需执行正常的编译(Start Compilation)。

AGM011.jpg

图 3.11 修改程序后,重新编译

重新再编译,等编译通过后, 在Quartus II 中选择菜单中 Tools -> Tcl Scripts...。

注意:Tcl Scripts...只需要在第一次新建工程的时候需要执行,后续修改HDL代码,Compile通过后,不需要再执行Tcl Scripts...。

TCL.jpg

图 3.12 Tcl 操作

在Tcl Scripts窗口中选 af_quartus.tcl,点击 Run。

AFS.jpg

 

图 3.13点击 Run进行编译

中间会弹出命令窗口,不用理会,后台会自动处理。

LV.jpg

图 3.14 临时弹出命令窗口

AG1.jpg

图 3.15 执行TCL操作完成后提示通过OK

如下图,点击OK。

AG2.jpg

图 3.16 编译成功

3.4  功能引脚分配

Quartus II 里编译成功后,在工程目录D:\AGM_Project\LED_PLL中,找到LED.asf 文件。

AA1.png

图 3.17 打开工程目录下的管脚配置文件LED.asf

该LED.asf文件可以通过记事本打开,也可以直接拖动到Quartus II中打开。LED.asf文件初始状态是一个空文档,打开后是一片空白,用户可以直接编辑管脚分配说明,(具体请参考芯片的管脚映射列表,确定 IO 名称及位置),注意:PLL 的输入时钟必须是芯片的全局输入时钟管脚(AG1280Q48的是Pin_13,Pin_15,Pin_19 这三个)LED.asf的管脚指定描述如下。LED.asf文件内容如图 3.18所示,编辑完成后,点击保存即可。

set_global_assignment -name RESERVE_ALL_UNUSED_PINS WEAK_PULL_UP

 

set_loca tion_assignment PIN_13 -to clk

set_location_assignment PIN_20 -to rst

set_location_assignment PIN_1 -to test1

set_location_assignment PIN_2 -to test2

 

set_location_assignment PIN_44 -to led[0]

set_location_assignment PIN_45 -to led[1]

set_location_assignment PIN_46 -to led[2]

set_location_assignment PIN_48 -to led[3]

 

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to rst

 

注意:1、器件的引脚序号,QFN48封装的序号是从1一直到48,除了当中的电源VCC、GND和JTAG引脚不能作为使用,其他的普通的I/O都可以分配使用。

2、CLK信号必须要通过名称为IO Global的全局引脚接入,否则可能导致无法分配引脚。

3、Reset信号也建议从IO Global的全局引脚接入。

AA2.jpg

图 3.18 编写管脚配置文件

补充,AG1280Q48的引脚定义如下:

AA3.jpg

 

图 3.19 AG1280Q48 PINOUT

3.5   在Supra中进行项目编译

返回Supra 中,点击Next,开始进行编译,参数按照默认的。如图3.20和图3.21所示。

AA4.png

图3.20 点击Next

AA5.png

图3.21 保持默认参数

参数保持默认的,然后点击Finish,软件后台将进行布局布线等操作。等待软件提示成功,如图3.22所示。

AA6.png

图3.22 设计编译成功

编译成功后,软件将自动生成烧写文件,LED_hybrid.prg。

3.6   芯片烧写

在Supra 软件菜单栏中选Tools ---à Program,或者点击Program图标。选中 DesignName_hybrid.prg,这里我们选择LED_hybrid.prg,然后点击Program开始程序烧写。烧写成功后,CDONE 管脚会变高。


上一篇 : AGM FPGA PIN TO PIN兼容ALTERA FPGA 下一篇 : AGM FPGA使用答疑(一)

关于我们  产品中心  技术支持  新闻中心  人才招聘  联系我们

电话:0755-2780 9180   E-mail: tech@hizyuan.com
地址:深圳市宝安区西乡街道湾区人工智能产业园D栋4楼
Copyright 版权所有  海振远科技 All rights reserved  

在线客服
Hi, how can I help you?Jane
Hi, how can I help you?Robin
联系方式
热线电话
0755-27809180
E-mail地址
tech@hizyuan.com
二维码
二维码
微信在线客服-(添加请备注:海振远产品咨询)
在线客服
  • 取消
添加微信好友,详细了解产品
使用企业微信
“扫一扫”加入群聊
复制成功
添加微信好友,详细了解产品
我知道了
粤ICP备19051499号-1

哆哆女性网薛姓起名男孩和水有关的起名大全营业执照名字大全起名扎西拉姆多多湿气太重怎么排出来最简单的方法晨字起名男孩名字大全集男孩起名沛支付宝提现什么意思盛名之下其实难副房价未来五年翻番按照笔画起名字啊巧起名麦玲玲 起名字n64餐饮业店怎么起名5月8号给串串香起名千里之行女孩起名大全回向偈专业姓名免费起名用壹起名好吗吴京个人资料起名男孩张姓贺寒川向晚小说免费阅读八字算宝宝起名软件妙不可言的意思白猫起名字有田春雪当世界年纪还小的时候淀粉肠小王子日销售额涨超10倍罗斯否认插足凯特王妃婚姻不负春光新的一天从800个哈欠开始有个姐真把千机伞做出来了国产伟哥去年销售近13亿充个话费竟沦为间接洗钱工具重庆警方辟谣“男子杀人焚尸”男子给前妻转账 现任妻子起诉要回春分繁花正当时呼北高速交通事故已致14人死亡杨洋拄拐现身医院月嫂回应掌掴婴儿是在赶虫子男孩疑遭霸凌 家长讨说法被踢出群因自嘲式简历走红的教授更新简介网友建议重庆地铁不准乘客携带菜筐清明节放假3天调休1天郑州一火锅店爆改成麻辣烫店19岁小伙救下5人后溺亡 多方发声两大学生合买彩票中奖一人不认账张家界的山上“长”满了韩国人?单亲妈妈陷入热恋 14岁儿子报警#春分立蛋大挑战#青海通报栏杆断裂小学生跌落住进ICU代拍被何赛飞拿着魔杖追着打315晚会后胖东来又人满为患了当地回应沈阳致3死车祸车主疑毒驾武汉大学樱花即将进入盛花期张立群任西安交通大学校长为江西彩礼“减负”的“试婚人”网友洛杉矶偶遇贾玲倪萍分享减重40斤方法男孩8年未见母亲被告知被遗忘小米汽车超级工厂正式揭幕周杰伦一审败诉网易特朗普谈“凯特王妃P图照”考生莫言也上北大硕士复试名单了妈妈回应孩子在校撞护栏坠楼恒大被罚41.75亿到底怎么缴男子持台球杆殴打2名女店员被抓校方回应护栏损坏小学生课间坠楼外国人感慨凌晨的中国很安全火箭最近9战8胜1负王树国3次鞠躬告别西交大师生房客欠租失踪 房东直发愁萧美琴窜访捷克 外交部回应山西省委原副书记商黎光被逮捕阿根廷将发行1万与2万面值的纸币英国王室又一合照被质疑P图男子被猫抓伤后确诊“猫抓病”

哆哆女性网 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化