ISE和ModelSim联合仿真的配置

ISE和ModelSim的安装

安装ISE和ModelSim SE,版本只要不是太旧就没啥问题,它们的破解自行百度。我安装的是ISE 14.7、ModelSim SE 10.4。

ISE兼容性问题

14.7版本的ISE解决方法:

找到这两个文件夹:
X:\Xilinx\14.7\ISE_DS\ISE\lib\nt64
X:\Xilinx\14.7\ISE_DS\common\lib\nt64
第一个文件夹里 重命名libPortability.dll为libPortability.dll.orig,–备份
复制第一个文件夹里的libPortabilityNOSH.dll并重命名为libPortability.dll,
然后把这个新的libPortability.dll覆盖到第二个文件夹

其他版本如果有问题可以试试上述14.7版本的方法(尝试前请先备份相关文件),如果不行,就不要用64位的ISE,改用32位ISE可以解决。具体方法:

在 X:\Xilinx\14.7\ISE_DS\ISE\bin\nt 文件夹中找到 ise.exe ,这就是32位的ISE,可以右键-发送到-桌面快捷方式,这样以后就不用再找来找去了

编译Xilinx库

如果上述兼容性问题解决好了,就可以进行Xilinx库的编译了。

在 X:\Xilinx\14.7\ISE_DS\ISE\bin\nt (32位)或者
X:\Xilinx\14.7\ISE_DS\ISE\bin\nt64 (64位)中找到 compxlibgui.exe 并运行。
compxlibgui.exe中仿真器的设置;不同版本可能界面不太一样,方法大同小异,后面一路Next即可,编译过程可能需要十几分钟。

将编译好的库加入到 modelsim.ini 文件中

首先在 X:\Xilinx\14.7\ISE_DS 文件夹中搜索 modelsim.ini 文件,找到后打开文件,将下图中蓝色部分复制到 ModelSim 安装目录下的 modelsim.ini 文件的Library段中。
ISE文件夹中  modelsim.ini  文件Xilinx库段
ModelSim安装目录中modelsim.ini文件修改后的样子
需要注意的是 ModelSim 安装目录下的 modelsim.ini 文件默认具有只读属性,在修改前需要右键-属性-去除只读,方可修改。

在ISE中添加ModelSim仿真路径

在ISE的Edit菜单下找到perferences项,在其中的Integrated Tools里选择Model Tech Simulator为ModelSim软件的路径,如下图:
添加ModelSim仿真路径

在ISE中使用ModelSim进行行为仿真

  • 在工程中选择ModelSim作为仿真器
  • 选中写好的 TestBench(这一步很重要,不要选错),然后双击下面的ModelSim图标开始仿真,以上

小结

这两个工具的联合仿真坑挺多的,以上写了一些我遇到的问题,希望尽量能帮到需要的人。

步子大了吧
关注 关注
  • 3
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
ISEModelsim联合仿真(详细步骤讲解)
weixin_34221773的博客
12-19 5374
ISEModelsim联合仿真(转) 地址:http://www.cnblogs.com/feitian629/archive/2013/07/13/3188192.html 相信很多人会遇到过这个问题,不知如何让ISE调用Modelsim进行仿真。我也迷糊了不少时间,查查找找,终于弄明白了,所以有了本文,和大家分享一下。我尽量讲得详细点儿,多多上图。  我的环境:Windows 7 64位,X...
win11安装ise14.7和modelsim10.4以及联合仿真的常见问题
最新发布
Gbw0109的博客
12-30 3560
关于win11安装ise14.7和modelsim10.4以及联合仿真的常见问题。
ISE联合modelsim功能仿真和综合后仿真
weixin_34233421的博客
09-07 690
ISE联合modelsim功能仿真和综合后仿真 1、代码输入 (1)、新建一个ISE工程,名字为count4。 (2)、新建一个verilog文件 (3)、选择verilog module 输入file name为count4,单击next默认知道finish。 (4)、在count4.v文件中输入以下代码 module count4(out,res...
ISE联合Modelsim设置
集成电路设计那些事儿
01-26 4048
本章介绍 ISE 工具调用 modelsim 工具进行仿真,在 modelsim 工具中调用 ISE 工具中 的仿真文件 第一步: 产生ISE仿真文件,在开始菜单中,找到如图所示的“Xilinx Design Tools-> ISE Design Suite 14.7->ISE Design Tools->64-bit Tools->Simulation Library...
Modelsim10.4安装教程及与ISE14.7联合仿真
热门推荐
灰太狼的小秘密
01-04 4万+
一、Modelsim10.4 安装过程 参考:https://blog.csdn.net/u013753393/article/details/50349636 1、运行安装包 之后一路安装,遇到创建弹窗是选择Yes,最后询问是否重启时选择No 2、破解过程 (1)安装路径下mgls.dll和mgls64.ll两个文件的属性中去掉只读勾选后,点击确定 (2) 复制破...
modelsim仿真系列之与ISE联合仿真方法(一)
u014055367的博客
11-18 4099
ModelsimISE联合仿真方法标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导...
ISEmodelsim联合仿真利用modelsim查看覆盖率
05-21
本文档利用截图详细介绍了ISEmodelsim联合仿真利用modelsim查看覆盖率的整个操作过程
ISEModelsim联合仿真_修订版教程
12-12
相信很多人会遇到过这个问题,不知如何让ISE调用Modelsim进行仿真。我也迷糊了不少时间,查查找找,终于弄明白了,所以有了本文,和大家分享一下。我尽量讲得详细点儿,多多上图。
ISE联合Modelsim进行仿真_百度经验.pdf
10-02
ISE联合Modelsim进行仿真_百度经验,里面有详细的描述。
isemodelsim联合仿真失败
03-12
按照网上的教程联合仿真可能还会失败,合理运用此法可能解决
Vivado/ISEmodelsim联合仿真
11-12
ISE与Vivado与modelsim联合仿真步骤,比较详细,可参考
modelsimISE的关联方法
02-11
modelsimISE的关联方法,关联方法在这个文件中有详细的描述
xilinx ISE 14.7在win10下若干问题解决以及联合Modelsim使用
03-20
xilinx ISE 14.7在win10下若干问题解决以及联合Modelsim使用
ISE基于ModelSim联合仿真库编译
07-28
Xilinx公司的ISE联合ModelSim联合仿真ModelSim大部分版本(除了ModelSim-XE版本外)都不包含ISE的库文件,如果要联合仿真就需要提前进行Xilinx库编译给ModelSim,但这个库编译是个很复杂且很容易出现错误的环境,在该文档中详述了如何对常用版本ModelSim进行Xilinx库编译进行联合仿真
ISE关联Modelsim方法总结
06-12
ISE关联Modelsim方法。非常方便的把ISE的宏单元以及IP的编译文件Modelsim关联。Modelsim中直接调用编译文件,快捷进行仿真设计
ISE14.7与modelsim联合仿真教程
05-09
文件详细解说了如何进行ISE14.7和modelsim进行联合仿真
ISEModelsim联合仿真关联设置
Reborn Lee
06-02 1万+
1、modelsim.ini内容复制与粘贴:在ISE安装路径:“C:\Xilinx\14.7\ISE_DS\ISE”,找到modelsim.ini,如下图:使用Notepad++打开它:找到文件modelsim.ini,在该文件上右击,弹出的菜单中选择 Edit with Notepad++命令,即可在Notepad++中打开该文件。从下图部分开始选中,一直选到图示位置为止,复制选中的内容:同样在...
FPGA开发之modelsimISE联合仿真
I AM BACK
03-17 2195
很多时候大家只会用ISE的isim来做
modelsim学习4——isemodelsim联合仿真
fsFengQingYangheihei的博客
07-14 1011
2013-11-15 23:46:06 分享:           今天晚上看了一下网上的资源,找到一篇很好的文章,帮了我大忙,让我分清了仿真的几个阶段的区别,以及一些基本概念。产生的文件的位置。 (1)、新建一个ISE工程,名字为count4。 (2)、新建一个verilog文件   (3)、选择verilog
ise14.7和modelsim联合仿真
06-28
### 回答1: ISE14.7和ModelSim可以联合仿真,具体步骤如下: 1. 在ISE中打开设计工程,点击"Generate Programming File"生成bit文件。 2. 在ISE中点击"Generate Simulation"生成仿真文件。 3. 在ModelSim中打开仿真文件,添加ISE生成的bit文件。 4. 在ModelSim中进行仿真,可以查看仿真结果。 需要注意的是,ISEModelSim的版本需要兼容,否则可能会出现兼容性问题。同时,需要确保仿真文件中包含了所有需要仿真的模块和信号。 ### 回答2: ISE(Integrated Software Environment)和ModelSim都是常用的数字电路设计工具,ISE主要用于开发基于FPGA的硬件系统,而ModelSim则是专门用于模拟数字电路的软件。这两种工具可以联合使用进行电路仿真,可以从不同角度完整地验证设计的正确性和性能。 关于ISE14.7和ModelSim联合仿真,有以下几个方面需要注意: 1.仿真文件格式 ISEModelSim之间需要进行文件格式的转换。ISE生成的仿真文件为VHDL或Verilog格式,而ModelSim则需要将这些文件转换成VHDL库或Verilog库文件(.vlib或.v库文件)和测试文件(.vhd或.v文件)。在ISE中,需要将仿真代码添加到ISE工程中,并在设备视图中为仿真添加仿真文件。 2.仿真引擎集成 ModelSimISE之前的仿真引擎需要进行集成设置。在ISE工程设置中,需要选择ModelSim仿真引擎作为仿真工具,该引擎与ModelSim相同。在仿真之前,需要将ISE的设计文件ISEModelSim,可以在ISE仿真对话框中进行设置。 3.仿真过程 在仿真过程中,可以用ISEModelSim联合仿真。首先,ISE将设计代码编译成可进行仿真文件,并将其传输到ModelSim。然后,在ModelSim中,可以创建仿真波形来查看仿真结果。这样可以确保电路设计正确,并且可以通过查看波形来进行性能分析和调试。 总体来说,ISEModelSim联合仿真能够有效提高数字电路设计的效率和精度。它使得设计人员能够从不同的角度了解其设计,并检查其设计的正确性和性能。此外,在仿真过程中,可以使用ISEModelSim提供的丰富的工具来帮助完成各种分析和调试任务,为设计人员提供更多的便利和支持。 ### 回答3: ISE14.7是Xilinx公司针对FPGA设计开发的一款综合工具,而ModelSim是一款常用的仿真工具,它们可以联合使用,帮助我们更加全面地验证FPGA设计的正确性。 ISE14.7支持将设计RTL代码和测试用例转换为VHDL或Verilog语言的模拟模型,并通过仿真模拟检测它们的逻辑正确性。ModelSim可以读入ISE14.7生成的仿真文件,进行波形仿真和代码调试,在仿真过程中,可以查看信号的变化,同时输出仿真结果。 ISE14.7和ModelSim联合仿真的优势在于可以在Xilinx工具链中完成FPGA设计的整个流程。在仿真过程中,我们可以通过三种方法进行联合仿真。 第一种方法是通过ISE GUI进行联合仿真设置。从ISE软件中启动ModelSimModelSim将自动读取ISE工程文件,并映射到ModelSim项目中。此外,ModelSim将执行VHDL代码仿真。在这种情况下,在ModelSim中打开一个CO模拟文件即可进行波形仿真。 第二种方法是通过ISE GUI设置仿真选项。通过ISE GUI,我们可以选择仿真选项来执行联合仿真,同时可以配置ModelSim仿真软件。通过配置ModelSim仿真软件,可以选择VHDL仿真/Verilog仿真,从而在仿真过程中匹配ModelSim仿真软件所需的仿真语法。 第三种方法是通过命令行设置仿真选项。通过-xise指定ISE工程文件和-xsimlib指定ModelSim仿真模式,即可完成仿真过程。 总之,ISE14.7和ModelSim联合仿真可以在FPGA设计的整个流程中发挥重要作用,它们可以提高FPGA设计的正确性,并在仿真过程中有效验证电路的正确性,同时也可以提高FPGA设计的工作效率和设计质量。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
写文章

热门文章

  • Surface Pro4详细拆机经验记录与分享 25519
  • Cadence IC61 使用spectre仿真后仿网表流程 22756
  • 解决Windows有网络连接但是显示“无Internet”的问题 21733
  • 为Garmin Edge 520码表添加地图,创建路线,导入路线 14277
  • Pytorch中tensor的打印精度 13526

分类专栏

  • 笔记 13篇
  • 硬件 3篇
  • AutoHotKey 4篇

最新评论

  • 在Windows下使用安装并启动HDFView踩坑记录

    blackeye2004: 居然是用JAVA写的?

  • 在Windows下使用安装并启动HDFView踩坑记录

    今天爱吃牛轧糖: 可以在这个网站上下载:https://support.hdfgroup.org/ftp/HDF5/releases/HDF-JAVA/hdfview-3.3.1/bin/

  • Cadence IC61 使用spectre仿真后仿网表流程

    s20090200: 忘记在model library里加后仿网表了。还有就是大小写要区分好。

  • Cadence IC61 使用spectre仿真后仿网表流程

    Jessica: 兄弟解决了么

  • 在Windows下使用安装并启动HDFView踩坑记录

    Kamen Black君: 已试,可以打开hdf4

您愿意向朋友推荐“博客详情页”吗?

  • 强烈不推荐
  • 不推荐
  • 一般般
  • 推荐
  • 强烈推荐
提交

最新文章

  • Quiet NaN,Signaling NaN 和 Canonical NaN
  • 有符号和无符号整数乘法竖式图示
  • 修改ZCU104的VADJ
2023年2篇
2022年2篇
2021年8篇
2020年30篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

哆哆女性网周易算命生辰八字起名打分取名我有一只小毛驴2014年属马女孩起什么名字大全黄姓男孩起名字什么叫绿色建筑李姓女孩起名大全2018给电商公司起名怎么起根据出生年月起名无暇赴死彩蛋51job.com招聘网眼看书线性代数视频情诗短句最美tpe是哪个国家赵薇事件是怎么回事2021我不是潘金莲在线阅读攻用锁链锁住受调教改造美人为馅演员表保险团队起名属土的字男孩起名公司起名有火和土的狮子座性格根据出生日期起小名明天你是否依然爱我电影精品店起名四川日报让丁真玩够早点回家宝宝起名男宝宝名字大全王怎么起名子双胞胎起名字大全2021男孩姓崔起取名大全男孩淀粉肠小王子日销售额涨超10倍罗斯否认插足凯特王妃婚姻不负春光新的一天从800个哈欠开始有个姐真把千机伞做出来了国产伟哥去年销售近13亿充个话费竟沦为间接洗钱工具重庆警方辟谣“男子杀人焚尸”男子给前妻转账 现任妻子起诉要回春分繁花正当时呼北高速交通事故已致14人死亡杨洋拄拐现身医院月嫂回应掌掴婴儿是在赶虫子男孩疑遭霸凌 家长讨说法被踢出群因自嘲式简历走红的教授更新简介网友建议重庆地铁不准乘客携带菜筐清明节放假3天调休1天郑州一火锅店爆改成麻辣烫店19岁小伙救下5人后溺亡 多方发声两大学生合买彩票中奖一人不认账张家界的山上“长”满了韩国人?单亲妈妈陷入热恋 14岁儿子报警#春分立蛋大挑战#青海通报栏杆断裂小学生跌落住进ICU代拍被何赛飞拿着魔杖追着打315晚会后胖东来又人满为患了当地回应沈阳致3死车祸车主疑毒驾武汉大学樱花即将进入盛花期张立群任西安交通大学校长为江西彩礼“减负”的“试婚人”网友洛杉矶偶遇贾玲倪萍分享减重40斤方法男孩8年未见母亲被告知被遗忘小米汽车超级工厂正式揭幕周杰伦一审败诉网易特朗普谈“凯特王妃P图照”考生莫言也上北大硕士复试名单了妈妈回应孩子在校撞护栏坠楼恒大被罚41.75亿到底怎么缴男子持台球杆殴打2名女店员被抓校方回应护栏损坏小学生课间坠楼外国人感慨凌晨的中国很安全火箭最近9战8胜1负王树国3次鞠躬告别西交大师生房客欠租失踪 房东直发愁萧美琴窜访捷克 外交部回应山西省委原副书记商黎光被逮捕阿根廷将发行1万与2万面值的纸币英国王室又一合照被质疑P图男子被猫抓伤后确诊“猫抓病”

哆哆女性网 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化