FPGA自学4—— Modelsim仿真软件使用

16 篇文章 22 订阅
订阅专栏

        Modelsim是一款仿真软件,可对VHDL 和Verilog HDL两种语言进行混合仿真。

        前仿真:功能仿真,考虑门电路延时与线延时,主要是验证电路与理想情况是否一致。

         后仿真: 时序仿真(布线后仿真),电路在实际应用中的工作仿真,考虑门电路延时与线延时,能反映芯片的实际工作情况。

 1、关联Quartus II 和Modelsim  软件

打开Quartus II 软件

关联modlesim软件

配置工程仿真软件

 

    现在Quartus II 和Modelsim 软件已经关联在一起了。

2、生成vt文件(Verilog test bench)

3、编写vt文件

生成后的vt文件:

修改后

// Copyright (C) 1991-2013 Altera Corporation
// Your use of Altera Corporation's design tools, logic functions 
// and other software and tools, and its AMPP partner logic 
// functions, and any output files from any of the foregoing 
// (including device programming or simulation files), and any 
// associated documentation or information are expressly subject 
// to the terms and conditions of the Altera Program License 
// Subscription Agreement, Altera MegaCore Function License 
// Agreement, or other applicable license agreement, including, 
// without limitation, that your use is for the sole purpose of 
// programming logic devices manufactured by Altera and sold by 
// Altera or its authorized distributors.  Please refer to the 
// applicable agreement for further details.

// *****************************************************************************
// This file contains a Verilog test bench template that is freely editable to  
// suit user's needs .Comments are provided in each section to help the user    
// fill out necessary details.                                                  
// *****************************************************************************
// Generated on "02/10/2022 09:24:50"
                                                                                
// Verilog Test Bench template for design : emg_fpga_top
// 
// Simulation tool : ModelSim (Verilog)
// 

`timescale 1 ns/ 1 ns
module emg_fpga_top_vlg_tst();
// constants                                           
// general purpose registers
//reg eachvec;    没用的信号
// test vector input registers
reg Ads_irq_8;
reg SPI_miso;
reg Start_data_flag;
reg sys_clk;
reg sys_rst_n;
// wires                                               
wire SPI_cs_n;
wire SPI_mosi;
wire SPI_sck;
wire led_b;
wire led_g;
wire led_r;
wire uart_txd;

// assign statements (if any)                          
emg_fpga_top i1 (
// port map - connection between master ports and signals/registers   
	.Ads_irq_8(Ads_irq_8),
	.SPI_cs_n(SPI_cs_n),
	.SPI_miso(SPI_miso),
	.SPI_mosi(SPI_mosi),
	.SPI_sck(SPI_sck),
	.Start_data_flag(Start_data_flag),
	.led_b(led_b),
	.led_g(led_g),
	.led_r(led_r),
	.sys_clk(sys_clk),
	.sys_rst_n(sys_rst_n),
	.uart_txd(uart_txd)
);
initial begin           //付初值                                              
    sys_clk=1'b0;       //系统时钟付初值
    sys_rst_n=1'b0;     //复位信号付初值
    #100 sys_rst_n=1'b1;//延时100ns,把复位信号拉高
    
    #1000 $stop; //程序仿真时运行1000ns,停止仿真
end       
 
//产生时钟翻转信号 
always     #10 sys_clk=~sys_clk;//系统时钟是50mhz,周期是20ns,那么就是没10ns,时钟翻转一次                                            
                                                  
endmodule

 4、配置仿真功能

 

  

     接下来就可以仿真了

5、开始仿真

 这里我选择功能仿真,modelsim软件会自动打开进入这个界面

 

 

这里我选择时序仿真,modelsim软件会自动打开进入这个界面

 

FPGA入门】第三篇、modelsim软件仿真与应用
大屁桃的博客
06-17 7761
关于modelsim软件使用笔记
FPGA软件篇——Modelsim使用
热门推荐
electron的博客
02-20 1万+
Modelsim仿真 目录Modelsim仿真(一)Modelsim仿真基础知识知识准备1.仿真分类2.RTL级与门级3.Testbench与HDL文件的关系步骤(二)tb文件编写 (一)Modelsim仿真基础知识 知识准备       我们知道进行FPGA开发的时候,用的某家的芯片,都会用这家的开发环境,他们都有自己的仿真器,Xilinx有ISE,Altera有Quartus II,但是这些厂家的仿真器是不如专业EDA厂家的仿真器好用,就比如HDL硬件描述语言仿真软件
FPGA】Quartus项目工程创建以及联合Modelsim进行仿真FPGA项目创建与仿真
最新发布
Rick0725的博客
03-08 2145
然后按住Ctrl+N或者左上角 文件-New 进行verilog HDL 文件添加,选择verilog HDL File选项,点击ok确认。这里我们不用项目模板也没有要添加的文件,所以一直点击next来到设备选择,我这里用的是Cyclone IV系列的,选好设备后点击next。接着我们打开该测试模板进行编写,按住Ctrl+O选择文件,将文件类型改成all files,并点击simulation文件夹。接下来在打开的文件中编写要实现的模块的代码,要注意的是,然后选中以下区域,将其替换成下面代码块中的代码。
第7节 国产安路FPGA工程仿真方法介绍
qq_42189951的博客
09-09 2636
本节主要讲述安路官方IP核创建和例化方法,搭建安路FPGA工程仿真平台,关于modelsim的安装方法在本专题就不再详细讲述了,感兴趣的读者可以通过其他方式进行学习了解。modelsim10.6的安装包我提供给大家,可以自行下载。下一小节主要讲述按键消抖应用实例控制原理讲解。modelsim 10.6安装包百度云链接链接:https://pan.baidu.com/s/1KSiutdDgJaCPGFBjMyX3Cg提取码:39c5。
FPGA基础入门【3】Blink逻辑及仿真
qimoDIY的博客
02-02 2182
从这一篇开始正式介绍FPGA中的硬件逻辑,第一个目标就是从零开始在NEXYS 4开发板上实现闪烁LED。 软件编程中hello world是初学语言中实现的第一个功能,而硬件编程中blink是同等的地位,有这跨出的第一步才有之后的进步 FPGA基础入门【3】Blink逻辑及仿真功能设计设计流程图blink代码详解仿真testbench详解ModelSim仿真流程 功能设计 硬件设计的过程是自上而下...
5.4 -1 序列检测器拓展(FPGA-quartus-仿真步骤)
qq_46303613的博客
12-31 3136
提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录前言一、pandas是什么?二、使用步骤1.引入库2.读入数据总结 前言 提示:这里可以添加本文要记录的大概内容: 例如:随着人工智能的不断发展,机器学习这门技术也越来越重要,很多人都开启了学习机器学习,本文就介绍了机器学习的基础内容。 提示:以下是本篇文章正文内容,下面案例可供参考 一、pandas是什么? 示例:pandas 是基于NumPy 的一种工具,该工具是为了解决数据分析任务而创建的。 二、使用步骤 1.引入库 代码
verilog学习教程
weixin_46151516的博客
03-08 745
Verilog
【小技巧】vivado中功能仿真和时序仿真
FPGA/MATLAB学习教程/源码/项目合作开发
12-06 9283
在vivado中我们一般需要首先对程序进行功能仿真,其操作方法如下: 此时,功能仿真的效果如下所示:下一步,如果有需要,我们对程序进行时序仿真,此时,操作步骤如下:先进行综合布局布线操作: 然后进行时序仿真,操作如下: 可以看到,在完成布局布线之后,可以点击时序仿真仿真结果如下:时序仿真和功能仿真区别,我们将上述仿真结果放大可以看到: 在两个数据的变化中间,会有一个0不稳态输出。这个也是实际FPGA开发过程中需要主要的问题。
FPGA那些事儿-Modelsim仿真技巧
02-28
FPGA设计领域,Modelsim是一款广泛使用仿真工具,它为设计者提供了强大的验证环境,帮助在硬件实现之前检查和调试逻辑设计。本篇将深入探讨Modelsim使用技巧,以便提升FPGA仿真效率和准确性。 首先,我们需要...
整理版 quartusVHDL modelsim 实例 仿真代码
05-15
内容有VHDL语法总结及相应的实例应用,每个程序我都亲自试过,特别适合初学VHDL的同学们。常用的程序有 设计一个M序列发生器,M序列为“11110101”、 设计一个彩灯控制器,彩灯共有16个,每次顺序点亮相邻的四个彩灯,如此循环执行,循环的方向可以控制。设计一个跑马灯控制器。一共有8个彩灯,编号为LED0~LED7,点亮方式为:先从左往右顺序点亮,然后从右往左,如此循环往复等等。这些都是我在考试前熬夜总结的,很有用。如果配合开发板用的话,那就更好了
ModelSim仿真软件使用教程学习资料全套中文手册等文档资料.zip
03-10
ModelSim仿真软件使用教程学习资料全套中文手册等文档资料12个,可做为你ModelSim学习参考。
fpga实现sobel边缘检测modelsim仿真代码
03-24
fpga实现sobel边缘检测modelsim仿真代码
FPGA实现图像裁剪并进行modelsim仿真
02-04
FPGA实现图像裁剪并进行modelsim仿真
FPGA DDS Modelsim 仿真
11-10
说明DDS原理,及FPGA软件实现、仿真,开发环境Quartui18.1,Modelsim10.1d
[ZYNQ]开发之MATALB与FPGA联合仿真平台设计
weixin_45303812的博客
07-03 1985
本实验在之前两篇文章的基础上设计的MATLAB与FPGA联合仿真平台设计,主要用于在MATLAB于FPGA之前提供收发数据的通道。本实验将把极化码的编译码器放在FPGA上进行实现,其余仿真步骤都将在MATLAB上进行。
利用FPGA仿真软件modelsim仿真波形显示图片
wetells的博客
02-02 2518
介绍如何将任意图片用modelsimFPGA仿真软件仿真出来
modelsim仿真
fpga_verilog_sky的博客
09-13 200
modelsim仿真注意事项: 1 仿真文件必须加时间尺度 -timescale 1ns/1ps 2 modelsim.ini问题,工程中的ini文件可以注释掉,当其里边的文件指向不对时。 20200913
ModelSim入门FPGA仿真基础教程之一:软件介绍
从零开始学单片机的博客
02-15 3359
编写这个教程之前,为了让不同水平阶段的人都能阅读,我尽量做到了零基础入门这个目标,所有的操作步骤都经过缜密的思考,做到了详细再详细的程度。 如果您是FPGA开发方面的初学者,那么这个教程一定能够帮助你在仿真技术上越过新人的台阶;如果您是FPGA开发的老手,这篇文档也并非对您没有帮助,您可以把教程发给其他刚入门的同事,免去您亲自上阵指导的麻烦,把主要的精力放在更有价值的地方。 一、FPGA设计仿真验...
EDA仿真测试 Modelsim仿真 .vt文件法仿真 .v文件法仿真
HMTeen
05-24 9398
一、本文内容 内容:通过两种方法,使用modelsim仿真Verilog程序 版本:Quartus II 13.1(64-bit) 二、通过 “.vt文件” 测试仿真 1、准备工作 已建立好的工程文件 // 上述工程文件代码 实现奇数分频功能 module FenPin(clkout,clk,rst); input clk,rst; output clkout; parameter f=9; //即为奇分频分频数 reg [9:0] counter1, counter2; reg c
fpga自学笔记——设计与验证》pdf
06-19
### 回答1: 《FPGA自学笔记——设计与验证》是一本关于FPGA设计和验证的入门教材。本书以VHDL和Verilog两种HDL语言为主要工具,通过实例讲解FPGA的基本概念、设计流程和验证方法。同时,本书还通过实例演示了如何使用Xilinx ISE和ModelSim这两个主流软件工具进行FPGA设计和验证。 本书的内容分为五个部分,分别是FPGA基础知识、FPGA设计流程、FPGA验证方法、FPGA性能优化以及FPGA应用实例。其中,FPGA基础知识部分介绍了FPGA的基本构成、组成部件以及通用数字电路设计知识;FPGA设计流程部分从设计输入、RTL设计、布局布线、实现生成等方面详细介绍了FPGA设计流程;FPGA验证方法部分主要介绍了功能验证和时序验证这两个方面的知识;FPGA性能优化部分介绍了FPGA的几种性能指标以及如何通过一定的优化方法提高FPGA性能;FPGA应用实例部分通过几个实例演示了如何应用FPGA进行数字电路设计。 本书的难度适中,适合初学者学习和参考,同时也可以作为FPGA初学者的参考书籍。本书涉及的知识点较为全面,可以为初学者提供一个全面的FPGA设计和验证入门指南。其内容易于理解,实例讲解深入浅出,对于想要学习FPGA设计和验证的人群来说是一本很好的参考书。 ### 回答2: 《FPGA自学笔记——设计与验证》PDF是一本很好的自学FPGA的书籍。这本书包含了FPGA基本概念、设计流程、Verilog HDL语言、开发工具、测试方法等多个主题,非常详尽地介绍了FPGA的基本知识和开发技巧。读这本书可以帮助我们更好地理解FPGA的原理和功能,从而更加熟练地掌握FPGA的设计和验证。 此外,这本书还提供了很多实例来帮助我们更好地理解FPGA的设计和验证。这些实例包含多种应用场景,例如数字逻辑、时序控制、通信等,能够帮助我们从不同角度学习FPGA的相关知识。而且,这本书还提供了实验指导,通过做实验来让我们更深入地理解FPGA的各种知识和技能。 总之,这本书《FPGA自学笔记——设计与验证》PDF是一本非常好的FPGA自学指南,通过阅读这本书,我们可以掌握FPGA基本知识和开发技能,更好地应用FPGA进行各种应用开发。我相信,读完这本书,你一定能够对FPGA有更深刻的认识,并且能够灵活运用FPGA进行各种应用开发。 ### 回答3: 《FPGA自学笔记——设计与验证》是一本以FPGA为研究对象的书籍。它详细介绍了FPGA的诸多特性和应用。该书主要分为两部分,第一部分介绍了FPGA的基本概念,并讲解了Verilog的语法和使用方法。第二部分是实践性较强的部分,通过编写案例代码进行实际操作。 该书着重强调了FPGA设计流程,通过案例演示了FPGA设计的全过程。该书还提供了大量的练习题和案例代码,读者可以通过反复练习和实际操作,逐渐掌握FPGA的设计和验证技能。 总体来说,《FPGA自学笔记——设计与验证》是一本非常实用的FPGA入门教材。它从基础知识入手,循序渐进地讲解了FPGA的各个方面。并且,该书重点讲解了如何运用Verilog语言进行FPGA设计,这对FPGA初学者来说是一个非常实用的指南。 如果你对FPGA领域感兴趣,且希望通过自学来掌握FPGA的基本操作和设计方法,那么《FPGA自学笔记——设计与验证》是一本非常值得推荐的书籍。  

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
写文章

分类专栏

  • FPAG 16篇
  • FPGA进阶——通信 4篇
  • 电路基础 10篇
  • AD软件应用进阶 2篇
  • 无线通信技术 1篇
  • Linux 4篇
  • 笔记 7篇
  • git使用记录 2篇
  • STM32 1篇
  • 嵌入式网络 2篇
  • Python 6篇

最新评论

  • 整理笔记——上拉电阻、下拉电阻

    Vemon?: 写的挺通俗

  • 整理笔记——ARM汇编指令集

    Mr. zhou ?_?: 请问LDM指令的{类型}中IA、IB、DA、DB每次传送后地址加1还是加4?

  • 整理笔记——AD PCB布线之模块复用

    syjunking: 大佬请问一下,不能复制过去是什么问题

  • 整理笔记——上拉电阻、下拉电阻

    hafuny: 我是3.3v单片机,想提高驱动能力,并且减少单片机功耗,想接个5v上拉电阻,怎么实现

  • 整理笔记——全差分放大器(FDA)的基本知识

    像番茄的西红柿: 懂了懂了,谢谢大佬,区别主要就是一个是单端输出,一个是差分输出

您愿意向朋友推荐“博客详情页”吗?

  • 强烈不推荐
  • 不推荐
  • 一般般
  • 推荐
  • 强烈推荐
提交

最新文章

  • Xilinx FPGA——在线升级
  • Linux学习——Ubuntu虚拟机安装
  • Xilinx FPGA——ISE时序约束“建立时间不满足”问题解决记录
2024年2篇
2023年13篇
2022年8篇
2021年23篇
2020年8篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

哆哆女性网徐姓男宝宝取名起名大全宠物狗起名具有吉利聚财的字2018婴儿生辰八字起名141.com球场街瓷都取名算命网师字起名朗读女语音库水浒传读后感800字孔字怎么起名万古丹帝两航起义全体人员名单公司起名免费遇江南thinkbaby连锁开店生死狙击第一季起名字19画的字建筑公司起名大全两字男人三十而立今天巳时出生的男孩起什么名字好8888小游戏语录龙凤胎起名字一男一女武当七侠的名字连起来女孩起名带宁寓意狗宝宝起名适合用字起英文名在线起名俄罗斯方块下载明朝那些事儿读后感淀粉肠小王子日销售额涨超10倍罗斯否认插足凯特王妃婚姻不负春光新的一天从800个哈欠开始有个姐真把千机伞做出来了国产伟哥去年销售近13亿充个话费竟沦为间接洗钱工具重庆警方辟谣“男子杀人焚尸”男子给前妻转账 现任妻子起诉要回春分繁花正当时呼北高速交通事故已致14人死亡杨洋拄拐现身医院月嫂回应掌掴婴儿是在赶虫子男孩疑遭霸凌 家长讨说法被踢出群因自嘲式简历走红的教授更新简介网友建议重庆地铁不准乘客携带菜筐清明节放假3天调休1天郑州一火锅店爆改成麻辣烫店19岁小伙救下5人后溺亡 多方发声两大学生合买彩票中奖一人不认账张家界的山上“长”满了韩国人?单亲妈妈陷入热恋 14岁儿子报警#春分立蛋大挑战#青海通报栏杆断裂小学生跌落住进ICU代拍被何赛飞拿着魔杖追着打315晚会后胖东来又人满为患了当地回应沈阳致3死车祸车主疑毒驾武汉大学樱花即将进入盛花期张立群任西安交通大学校长为江西彩礼“减负”的“试婚人”网友洛杉矶偶遇贾玲倪萍分享减重40斤方法男孩8年未见母亲被告知被遗忘小米汽车超级工厂正式揭幕周杰伦一审败诉网易特朗普谈“凯特王妃P图照”考生莫言也上北大硕士复试名单了妈妈回应孩子在校撞护栏坠楼恒大被罚41.75亿到底怎么缴男子持台球杆殴打2名女店员被抓校方回应护栏损坏小学生课间坠楼外国人感慨凌晨的中国很安全火箭最近9战8胜1负王树国3次鞠躬告别西交大师生房客欠租失踪 房东直发愁萧美琴窜访捷克 外交部回应山西省委原副书记商黎光被逮捕阿根廷将发行1万与2万面值的纸币英国王室又一合照被质疑P图男子被猫抓伤后确诊“猫抓病”

哆哆女性网 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化