Modelsim仿真ROM详细操作步骤

5 篇文章 4 订阅
订阅专栏

前言

很多小伙伴在看了《FPGA入门学习第六天》后,依然没办法仿真出想要的波形,遇到了很多问题,比如,我的ROM中为什么没有数据读出来呢?用的和你一模一样的工程,仿真的时候为什么还要报错呢?等等。为了给各位感兴趣的小伙伴答疑,为此我专门写这样一篇博客,希望对各位有帮助!书接《FPGA入门学习第六天》,我们在这个工程的基础上做详细的步骤讲解。


准备工作

  1. 按《Modelsim编译Altera器件库操作流程》编译器件库
  2. 在QuartusII中生成rom的初始化文件,可以是hex,也可以是mif。MIF文件的格式很简单明了,所以我一向都是用MIF。
  3. 下载convert_hex2ver.dll文件,convert_hex2ver.dll就是把hex文件转换成ModelSim 能认出来的ver文件的动态链接库文件。新版本的QuartusII中已经不带此文件了。需要在网上找一份。(老版本的软件里带了此文件(路径:quartusXX/eda/mentor/modelsim/),应当可以用。)
  4. 将convert_hex2ver.dll文件放在modelsim安装路径的win32目录下;
  5. 在ModelSim安装的目录下找到 modelsim.ini文件,将其只读属性去掉,在“; List of dynamically loaded objects for Verilog PLI applications,”后填入一行“Veriuser = convert_hex2ver.dll”。保存文件,将只读属性改回来。
  • 注意:需要将MIF文件复制到ModelSim仿真工程的文件夹下!你运行一次编译和仿真后,会看到自动生成的.ver文件,该文件名同你的MIF文件,如果没有生成.ver文件,那说明没有正确将mif文件转换成Modelsim能认出的ver文件。

详细仿真步骤

  1. 新建ROM IPCORE,同时加载初始化数据(sin.mif)
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    没有列出来的界面,直接Next即可
  2. 将sin512.mif文件拷贝到do文件夹里面,如下图
    在这里插入图片描述
    3.双击modelsim.bat,可以看到生成了ver文件
    在这里插入图片描述
  3. 仿真结果
    在这里插入图片描述
    到此我们用Modelsim仿真ROM全部完成,小伙伴们也可以自已生成一些其它的mif文件,加载到ROM里,看一下Modelsim仿真出来的波形是否和自己预想一样。如果需要工程源文件和视频教程,请与我联系,谢谢!
Modelsim仿真ROM
08-03
有些网友说convert_hex2ver.dll 文件无法找到,是因为目录不对,不是什么dll directory,在自己quartus 的安装目录中搜索就可以找到的。另外注意要使用/代替。
QuartusModelsim仿真ROM所需文件和例子
12-15
QuartusModelsim仿真ROM所需文件和例子
modelsimrom仿真
04-03 918
结合PLL和ROM进行仿真 仿真程序下载地址:rom_pll程序 仿真最后的波形,locked对应于rom的clken信号,clkc0对应于rom的CLK信号
modelsim仿真带有mif文件的rom
tianchang2you的专栏
03-26 7700
问题:你是否发现你能够在quartus中自带仿真软件中仿真呢?但是却不能再modelsim仿真带有mif文件的rom呢?          Modelsim仿真包含rom文件的方法 如下 解决方案: 如果fpga逻辑中包含有rom的初始化数据,其文件后缀为mifmif含义为memory initialization file 的缩写,中文意思就是存储器初始化文件。这种文件可以被Alter
Altera rommodelsim仿真过程
qq_34244712的博客
11-19 535
modelsim ,如果不会用,自己找教程多练习一下 1.仿真文件放在同一个文件夹里。 其中altera_mf.v可以到C:\altera\13.1\quartus\eda\sim_lib (quartus安装的位置) port1_rom_ipcore.v 这个是rom ipcore的文件。 rom_top_tb.v 是测试文件。 2.我用的是modelsim SE-64 10.4...
modelsim 仿真 altera IP核(ROM,RAM实例)
热门推荐
qq1987924的专栏
12-11 1万+
转自:http://blog.sina.com.cn/s/blog_3f8b06cc01015gqn.html  一、Quartus2中内嵌的Simulator与ModelSim仿真的差异? Quartus2中内嵌的Simulator也可以进行仿真,它可以分为两种模式,Functional与Timing。但是这里的Functional是基于门级网表的功能仿真,并不是HDL级的功能仿真
如何在ModelSim仿真Altera的lpm_rom文件
08-03
ModelSim仿真Altera的lpm_rom文件 ModelSim是一款功能强大的硬件描述语言(HDL)仿真器,广泛应用于数字电路设计和验证中。Alteraa的lpm_rom文件是一种常用的ROM存储器模型,经常用于FPGA设计中。那么如何在...
modelsim仿真xilinx IP核
12-07
在本文中,我们将详细介绍 ModelSim 仿真 Xilinx IP 核的知识点,涵盖了从编译 Xilinx 仿真库到启动仿真的所有步骤。 编译 Xilinx 仿真库 在 ModelSim 中编译 Xilinx 仿真库是使用 Xilinx IP 核的前提条件。在 ...
ip核Modelsim仿真
07-31
Modelsim对一些常用的ip核进行仿真,如pll,rom,lvds。步骤详细,每一步都有图片说明,注意事项明确,对仿真结果也有分析。
Modelsim仿真流程
03-07
Modelsim仿真流程】 Modelsim是一款广泛应用于数字电路设计验证的仿真工具,它支持VHDL、Verilog HDL和SystemC等硬件描述语言。在使用Modelsim进行仿真时,通常遵循以下步骤: 1. **建立库**: 在Modelsim中,...
mif文件生成器
12-10
亲测,放心使用,sin 方波等mif文件
quartus联合Modelsim SE 10仿真最强教程.docx
08-15
本文档将详细介绍quartusModelsim SE 10的联合仿真教程,旨在帮助读者快速掌握quartusModelsim的联合仿真方法,并解决Modelsimquartus联合仿真时速度慢的问题。 一、quartusModelsim的联合仿真设置 在进行...
QuartusModelsim联合仿真ROM IP时输出波形一直为零的问题以及ROM配置仿真教程
qq_40358548的博客
07-30 3760
本人在使用quartusmodelsim联合仿真ROM IP时,遇到仿真输出波形为零的情况。在反复确认本人代码没有问题之后,发现是ROM IP的初始化文件.mif文件加载出现问题。在上网查阅资料后确认问题原因并解决。同时,文章后段提供了ROM IP的配置和仿真教程...
ZYNQ PL系列(四)Modelsim独立仿真Vivado RAM IP
qq_26849933的博客
05-13 696
ZYNQ PL系列(四)Modelsim独立仿真Vivado RAM IP 第一章 VIVADO 的使用 文章目录ZYNQ PL系列(四)Modelsim独立仿真Vivado RAM IP前言创建工程 前言 使用黑金的开发板,安装VIVADO 2017.4。 创建工程 创建modelsim工程,并将上一章的ram文件加入到工程中。 从工程路径中sim下找到ram_ip.v, 从simulation目录下找到blk_mem_gen_v8_4.v,并添加到Modelsim工程中。 根据第二章的内容,已
基于ISE和ModelSimROM仿真验证(附代码)
weixin_41800011的博客
04-27 466
MATLAB生成自己想要的数据,然后用ISE和ModelSim进行仿真ROM核,并给出了代码。
Altera的rom/ram仿真
error
04-13 567
rom和ram的仿真与其他IP核存在区别,原因是要添加一个.mif或者是.hex的初始化文件(ram可以不添加),如果仿真中不添加这个文件会被被读出空数据,所以学会rom、ram的仿真很重要,下面为仿真的步骤 1.首先编写一个mif文件, mif文件模板.由于modelsim无法识别这个mif文件只能识别.hex文件,所以需要使用quartus软件对mif文件进行转换,步骤如下:打开quartus—>file—>open—>(选择你写的.mif文件)—>再点击file—>选择s
Verilog RAM/ROM的数据初始化
最新发布
wkk的博客
12-23 2446
FPGA设计中RAM和ROM作为存储器用来存储可变或不可变类型的数据。ROM初始化一般是加载固定数据,RAM声明时默认为不定态数据,初始化时可以让数据为全1或者全0。
【详解】modelsim使用技巧-波形白底黑线
FPGA萌新的博客
07-19 1725
在发表期刊或者论文时,我们需要夹带modelsim仿真波形在我们的论文里,在modelsim默认模式下的波形一般是黑底绿线白字,打印出来几乎无法看清楚波形变化。本篇教大家如何设置modelsim仿真出来的波形改成白底黑线。 设置过程如下: 当仿真跑起来后,选择菜单栏的Tools>Edit Prefrences Preferences窗口选着By Window>Wave Windows。 Wave Windows Color Scheme窗口内的变量设置如下: (1)background 设置为
[verilog]用文件初始化ROM
I have a adream
04-14 6589
`timescale 1ps/1ps /* 从文件中读入ROM的值 2013-4-14 */ module FILEROM( iCLK, iRST_N, iREAD, iADDR, oDATA ); parameter DATA_WIDTH=8; //数据位宽 parameter ADDR_WIDTH=8; //地址位宽 parameter ADDR_START=0; //地址
modelsim仿真
09-06
对于使用ModelSim进行仿真的基础步骤,可以按照以下流程进行: 1. 准备设计文件和测试文件。 2. 创建一个仿真工程,并将设计文件添加到工程中。 3. 设置仿真的顶层模块。 4. 配置仿真参数,例如时钟周期、仿真时间等...

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
写文章

热门文章

  • AD/DA模块使用说明及原理分析 24833
  • FPGA开发软件详细清单 12243
  • QuartusII生成RTL视图步骤 11817
  • 基于FPGA的PID控制器设计 9161
  • 基于FPGA的数字识别实现 8305

分类专栏

  • FPGA开发板 34篇
  • 笔记
  • 使用说明 1篇
  • 各种芯片使用归纳总结 3篇
  • 电子EDA相关常识总结 2篇
  • 工具使用 4篇
  • FPGA学习日记 8篇
  • FPGA入门学习第一天
  • FPGA入门学习第二天
  • FPGA设计经验总结 5篇
  • 专题研究 3篇

最新评论

  • 基于FPGA的PID控制器设计

    NO1PREMIER: 你好,你有工程文件了吗,可以给我看看嘛,呜呜呜

  • 基于FPGA的ADS1298的心电数据采集

    weixin_43518427: 求个硬件原理图和源码,多谢!93882180@qq.com

  • FPGA智能小车开发板使用说明

    weixin_45602178: 你好,怎么购买

  • 基于FPGA的PID控制器设计

    qq_55191714: 博主您好,能发我一下这个工程的源码文件吗?我还是有点没看懂您的思路过程,可以发我源码仔细学习一下吗

  • 基于FPGA的ADS1298的心电数据采集

    m0_71310384: 求个代码1171964229@qq.com,感谢

最新文章

  • 征战PRO开发板XILINX VIVADO XC7A35T
  • 征战MINI学习路线
  • 征战开发板从无到有(三)
2024年1篇
2023年5篇
2022年34篇
2020年4篇
2019年22篇

目录

目录

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

哆哆女性网起名八字打分免费测试姓陈起名罗铮最强兵王全文免费阅读葡萄合作社起什么名字好慈禧全传姓顾男人起名滞销是什么意思电子科技有限公司公司起名池莉作品下载李克克头像方姓名字大全女孩起名ds服饰marlboro价格水天一线公司起名网站登录开商店起什么名字好听独家记忆百度云工薪理财金木水火土起名水起个名字农产品商标起名注册健康管理公司起名字大全免费可以用父母的名字给孩子起名起名大全工具加工店起名字华陀折800包邮免费给小孩起名字大全男孩起点作者排名休闲会所起名字奥黛丽赫本彩色图片淀粉肠小王子日销售额涨超10倍罗斯否认插足凯特王妃婚姻不负春光新的一天从800个哈欠开始有个姐真把千机伞做出来了国产伟哥去年销售近13亿充个话费竟沦为间接洗钱工具重庆警方辟谣“男子杀人焚尸”男子给前妻转账 现任妻子起诉要回春分繁花正当时呼北高速交通事故已致14人死亡杨洋拄拐现身医院月嫂回应掌掴婴儿是在赶虫子男孩疑遭霸凌 家长讨说法被踢出群因自嘲式简历走红的教授更新简介网友建议重庆地铁不准乘客携带菜筐清明节放假3天调休1天郑州一火锅店爆改成麻辣烫店19岁小伙救下5人后溺亡 多方发声两大学生合买彩票中奖一人不认账张家界的山上“长”满了韩国人?单亲妈妈陷入热恋 14岁儿子报警#春分立蛋大挑战#青海通报栏杆断裂小学生跌落住进ICU代拍被何赛飞拿着魔杖追着打315晚会后胖东来又人满为患了当地回应沈阳致3死车祸车主疑毒驾武汉大学樱花即将进入盛花期张立群任西安交通大学校长为江西彩礼“减负”的“试婚人”网友洛杉矶偶遇贾玲倪萍分享减重40斤方法男孩8年未见母亲被告知被遗忘小米汽车超级工厂正式揭幕周杰伦一审败诉网易特朗普谈“凯特王妃P图照”考生莫言也上北大硕士复试名单了妈妈回应孩子在校撞护栏坠楼恒大被罚41.75亿到底怎么缴男子持台球杆殴打2名女店员被抓校方回应护栏损坏小学生课间坠楼外国人感慨凌晨的中国很安全火箭最近9战8胜1负王树国3次鞠躬告别西交大师生房客欠租失踪 房东直发愁萧美琴窜访捷克 外交部回应山西省委原副书记商黎光被逮捕阿根廷将发行1万与2万面值的纸币英国王室又一合照被质疑P图男子被猫抓伤后确诊“猫抓病”

哆哆女性网 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化